Casa Endavant pensant Les promeses i els reptes de l'euv a les bases globals

Les promeses i els reptes de l'euv a les bases globals

Taula de continguts:

Vídeo: Life at GlobalFoundries (Setembre 2024)

Vídeo: Life at GlobalFoundries (Setembre 2024)
Anonim

Una de les raons per les quals em va entusiasmar la visita a GlobalFoundries a principis d’aquest mes va ser l’oportunitat de veure una màquina de litografia de la EUV al seu lloc i d’escoltar el funcionament de la firma.

No fa gaire, vaig tenir l'oportunitat de visitar una fàbrica a Connecticut on ASML construeix molts dels components per a un equip com aquest. Aquestes enormes eines utilitzen una llum ultraviolada extrema (EUV) que va brillar a través d’una màscara per delimitar les línies per tenir característiques molt petites dels xips i són algunes de les màquines més complexes del món. Estan dissenyats per ocupar el lloc de les màquines de litografia d'immersió ara estàndard que utilitzen llum amb una longitud d'ona de 193nm en algunes capes del procés d'elaboració de xips.

Per recaptar, una màquina EUV és increïblement complicada. Tal com va explicar George Gomba, vicepresident de Recerca Tecnològica de GlobalFoundries, el procés comença amb un làser de CO2 de 27 quilowatts que es dispara mitjançant un sistema de transport de feixos i enfocant cap a petites gotetes d’estany (al voltant de 20 micres de diàmetre) produïdes per un generador de gotetes. en un vas plasmàtic El primer pols aplana la gota i el segon la vaporitza, creant plasma produït per làser (LPP). Els fotons EUV emesos del plasma es recullen mitjançant un mirall especial que reflecteix la llum d’ona de 13, 5 nm i que la radiació es transmet a un punt d’enfocament intermedi on entra a l’escàner i es projecta a través d’una màscara sobre l’hòstia de silici. Gomba, que treballa fora de la instal·lació d'Albany Nanotech, va dir que treballa amb sistemes EUV de preproducció des del 2013 i ara espera que EUV estigui en plena producció a GlobalFoundries fins a la segona meitat del 2019.

Aquestes eines són tan complexes que requereixen mesos de treball només per preparar-les per començar a la producció. Al Fab 8 de la companyia a Malta, Nova York, vaig veure les dues primeres eines EUV que s’han instal·lat; una és gairebé completa i l’altra en producció de processos, i encara hi ha lloc per a dos més.

Obtenir les eines EUV a l’edifici en si era una operació complexa. La fàbrica principal es va segellar per primer cop; Aleshores, es va instal·lar una grua al sostre i es va tallar un forat al costat de l'edifici per moure el nou sistema massiu al seu interior. Aleshores, per descomptat, s’havia de connectar amb les altres eines de la fàbrica. Es tractava d’un treball tant a la subfabrica, que s’havia d’establir per a l’eina font que crea el làser utilitzat en el procés, com a la sala neta. Tot s’havia de fer tot mantenint la resta de la fàbrica funcionant a tota velocitat.

Tom Caulfield, SVP i director general de Fab 8, ho va comparar amb "fer cirurgies del cor mentre feia una marató".

L'estat de la VEU i el que encara s'ha de resoldre

Gary Patton, CTO i SVP de R + D mundial per a GlobalFoundries, va dir que 7nm estaran en producció de risc al Fab 8 aquest any, i la producció completa l’any que ve, mitjançant litografia d’immersió i patró quad, però no EUV. El multi-patró triga més temps perquè implica més passos i poden sorgir problemes a causa de l’alineació molt precisa que es necessita a cada pas, però aquestes eines de litografia són habituals, ben enteses i llestes actualment. El pla és oferir una versió del procés 7nm més tard mitjançant les noves eines EUV.

EUV no està "a punt avui", va dir Patton, tot citant problemes relacionats amb la font d’energia, els materials resistents i les màscares, especialment amb el desenvolupament de la pellícula adequada (una pel·lícula fina que sobrepassa la màscara o el reticle.)

Actualment, les màquines EUV no són tan ràpides, amb un enginyer explicant que poden produir aproximadament 125 hòsties per hora, enfront dels 275 hòsties per hora per litografia d'immersió. En realitat poden estalviar temps, perquè si el procés redueix el nombre de passades per a diversos patrons, no només estalvia passos en litografia, sinó també en gravat i preparació. Així, EUV hauria de costar menys executar-lo quan estigui a punt, va dir Caulfield.

Gomba va assenyalar que la idea no és només reduir 3 o 4 capes de litografia òptica, sinó també reduir molts altres passos, perquè entre cada pas de litografia també hi ha gravat i altres processaments a l’hòstia. L’objectiu, va dir Gomba, és reduir el temps de cicle fins a 30 dies.

El punt de creuament és probablement un patró quad, però depèn molt del rendiment (que hauria de millorar-se, ja que els passos de litografia EUV haurien de tenir menys variabilitat que els passos de litografia d’immersió múltiple) i les millores del temps de cicle. La EUV també hauria de permetre als dissenyadors de xip operar en condicions molt menys restrictives.

Però també va assenyalar que encara queden alguns problemes per resoldre, particularment quan es tracta del pellicle. Un altre enginyer va explicar que la radiació de 13, 5 nm que utilitza EUV s’absorbeix gairebé tot, per la qual cosa l’interior de la màquina ha de ser un buit. Amb EUV, gran part del poder no passa pel reticle (màscara), sinó que s’escalfa. La pellícula ajuda a protegir la màscara, però encara cal treballar per millorar la quantitat de llum que travessa la pellícula (transmissió), així com la longevitat del granulat. Al seu torn, això afectarà el rendiment, així com la longevitat de les màscares i el temps de funcionament de la màquina en general.

Com a resultat, va dir Patton, la companyia inicialment oferirà una reducció de 7nm amb EUV, que s'utilitzarà principalment per a contactes i vias. Això sol pot proporcionar un augment del 10 al 15 per cent de densitat sense una gran inversió en disseny. Quan els problemes siguin resolts, va dir Patton, EUV pot i serà utilitzat en moltes més capes. (Joel Hruska d’ ExtremeTech , que també va estar a la gira, en té més detall aquí.)

Patton va assenyalar que ASML hauria d'obtenir un "crèdit tremenda" per empènyer EUV fins al punt que té, i va dir que és una "increïble proesa d'enginyeria". Quan se li va preguntar si GlobalFoundries es compromet realment a fer EUV, Caulfield va respondre que la signatura ha invertit 600 milions de dòlars, cosa que significa "haver de fer-ho".

FDX i el full de ruta per al futur xifratge

En una àmplia discussió sobre cap a on es dirigeix ​​la fabricació de xips, Patton -que va passar una llarga carrera treballant en tecnologia de xips per a IBM- va explicar com el concepte canvia a mesura que arribem al final de la llei de Moore. Va assenyalar que en els primers anys de fabricació de xips, es tractava de l'escalació planera del silici CMOS. Aleshores, entre els anys 2000-2010, l’atenció es va dirigir a nous materials; ara, gran part del focus se centra en transistors 3D (els FinFETs que s’utilitzen en la majoria de processos d’avantguarda en l’actualitat) i l’empilament en 3D.

El 2020, va dir, arribarem als límits de les dimensions atòmiques, per la qual cosa caldrà centrar-nos en altres maneres d’innovar, incloses les noves maneres de dissenyar transistors (com ara nanofils que substitueixen FinFETs), nous tipus de substrats (com ara el Completament Tecnologia esgotada de silici sobre aïllant GlobalFoundries està desenvolupant); o nous nivells d’integració a nivell de sistema (com ara embalatges avançats, fotònica de silici i memòria incrustada).

GlobalFoundries té dos fulls de ruta en què treballa, va dir Patton. El primer es basa en la tecnologia FinFET actual i està dissenyat per a dispositius d’alt rendiment. A GlobalFoundries, això vol dir passar del procés actual de 14nm a una revisió del procés que està anomenant 12nm, i més tard aquest any al que truca 7nm. Patton va dir que això s’hauria d’adaptar més als processadors d’aplicacions mòbils i a CPU d’alt rendiment i GPUS, amb GlobalFoundries que promet una millora del 40 per cent en el rendiment del dispositiu i una reducció del 60 per cent de la potència total en comparació amb el procés de 14nm. Igualment convincent, hauria de reduir els costos de matrius en un 30% fins a un 45 per cent respecte a la generació anterior.

En aquesta part del full de ruta, GlobalFoundries està en un recorregut similar en comparació amb els fulls de ruta de les fabulistes competidores, com TSMC o Samsung.

Però, per a altres aplicacions, la companyia es centra en el que anomena FDX, la seva marca per a la tecnologia de silici sobre aïllant totalment esgotada. Es tracta d’una tecnologia planera, que vol dir que no utilitza transistors 3D, i Patton va dir que proporciona una solució més rendible per als processadors mòbils de gamma baixa i mitjana, així com per als processadors d’Internet de les coses i per a molts automoció. aplicacions. Mentre que algunes de les investigacions estan passant a Malta, el procés FDX s'organitza principalment a Dresden, Alemanya. El treball actual sobre aquest procés és el que GlobalFoundries anomena el seu node FDX de 22nm; això es preveu per passar a un procés de 12nm l'any que ve.

Caulfield va assenyalar que "una reducció no és suficient", i que per passar al següent node, GlobalFoundries també ha d'oferir més rendiment i aportar valor real als clients. Va assenyalar que la signatura va saltar 20nm i el que altres anomenen 10nm per centrar-se en 7nm i va dir que aquest node ofereix una reducció de cost directa del 30 al 45 per cent en comparació amb els 14nm, compensada una mica per la necessitat de més màscares per als passos addicionals requerits per multi-. patró.

Caulfield va assenyalar que més de la meitat dels ingressos de l'empresa es mantenen en nodes de procés més antics, com ara nodes de 28 i 40 milions. La planta de l'empresa de Singapur està enfocada a processos de 40nm i més antics, i Dresden fabrica a 22nm i més. Mentrestant, tot a Malta se centra en processos més recents de 14 milions i més nous.

Caulfield, a 7 nm, va voler ser un "seguidor ràpid", mentre que a FDX, vol ser un factor "pertorbador" del mercat.

Patton va assenyalar que GlobalFoundries va mostrar un xip de prova de 7nm el 2015, que va desenvolupar amb els socis IBM i el complex Albany NanoTech. A 5nm, la companyia ha parlat sobre nanosheets o transistors de tot tipus, i s'ha centrat en la comunicació intra-mòdul amb empaquetatge de xip 2.5D i 3D en interpositors de silici per connectar diferents cubs de memòria i híbrids. Amb els seus socis, va demostrar un xip de prova de 5nm l'any passat.

Des de fa anys, m’ha impressionat el molt que ha pogut millorar la indústria de la fabricació d’expressions. És difícil pensar en una altra indústria que s’ha mogut fins ara i tan ràpid, i el treball de fabricants d’eines com ASML i fabs com GlobalFoundries és increïble. Cada cop són més difícils els reptes a què es plantegen fer xips més ràpids i dissenys més densos, però la meva visita em va recordar tant la complexitat dels processos d'avantguarda implicats com el progrés que seguim veient.

Quina probabilitat tens de recomanar PCMag.com?
Les promeses i els reptes de l'euv a les bases globals